CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 脉冲 发生

搜索资源列表

  1. sdq

    0下载:
  2. 用loop51实现的可调式脉冲发生及检测器,可以手动输入所发生脉冲的频率和占空比,实时检测及动态显示-loop51 achieved with adjustable pulse and the detector, which can occur manually input pulse frequency and duty cycle, real-time detection and dynamic display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:12668
    • 提供者:三影塔
  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse frequency measurement module is wr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4210
    • 提供者:邵君武
  1. maichong

    0下载:
  2. 脉冲发生测试.此程序为AD9850(DDS)直接数字频率合成器C语言源码。用125M的有源晶振,频率无失真输出可达到40M。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:18983
    • 提供者:崔艳
  1. 基于DSP的三相SPWM波发生软件设计

    1下载:
  2. 针对三相逆变器采用的不同结构,介绍了采用TMS320LF2407芯片、通过查表法产生三相SPWM波的两种方法,并给出了部分程序源代码。 关键词:正弦脉冲宽度调制(SPWM);查表法;三相桥式逆变电路; 组合式三相逆变电路
  3. 所属分类:其它资源

    • 发布日期:2011-05-14
    • 文件大小:87040
    • 提供者:1219799148
  1. maichong

    0下载:
  2. 这是我在ISP编程课上独立编写的一个采用模块化+行为描述方式实现的可控脉冲发生器。程序有四个并行模块:减数器&控制模块(用于设置发生脉冲数量并记数,同时产生控制信号)、脉冲发生模块(用于接受控制信号并产生脉冲输出)、脉冲接收模块(用于接收脉冲输出并记录脉冲个数)、显示模块(将接受模块记录到的脉冲总数显示到数码管上)。此程序是我从画逻辑结构图开始一步步独立开发的,并没有参考或仿照网络上的其他脉冲发生器源码,因此与网络上任何其他可控脉冲发生器的源码决无雷同。-err
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:69468
    • 提供者:daisichong
  1. motor-s

    2下载:
  2. 步进电机加减速计算 说明输入步进电机的加减脉冲步数,就可计算出电机加减速的静态表(16进制输出)-motor s type download
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:165140
    • 提供者:routty
  1. 8259

    0下载:
  2. 用单脉冲发生器的输出脉冲作为中断源,每按一次按键产生一次中断申请,中断服务程序将在实验箱的显示屏显示一个字符“R”-Single-pulse generator output pulse as interrupt sources, each generated by a disruption of a key application for interrupt service routine to the display box in the experiment shows a charact
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:4325
    • 提供者:黄赛杰
  1. programmablpulsegenerator

    0下载:
  2. 用VHDL编译的源代码,可编程脉冲生成器,解压后直接用Quartus打开project即可-Compiled with VHDL source code, programmable pulse generator, after extracting the direct use of Quartus can open the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:13673
    • 提供者:xie
  1. pulse_generator

    0下载:
  2. 本文件时关于脉冲发生器的设计-This document on the design of pulse generator
  3. 所属分类:File Formats

    • 发布日期:2017-04-04
    • 文件大小:6896
    • 提供者:靖书磊
  1. ATmega16VariablePulse

    0下载:
  2. ATmega16单片机可变脉冲发生器C语言范例-ATmega16 variable single-chip pulse generator C language examples
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:1006027
    • 提供者:jongshun
  1. pusle-generator

    0下载:
  2. 根据Jean J. Labrosse的dio嵌入式构件,自己编写的一个脉冲发生器。结构简洁,注释清晰,可供编程者参考。-According to Jean J. Labrosse embedded component of the dio, I have written a pulse generator. Simple, clear notes for programming reference.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:11555
    • 提供者:zhuxiaosan
  1. pules

    0下载:
  2. 51脉冲信号发生器,实现脉冲信号输出!简单但好用!-51-pulse signal generator, to achieve pulse signal output! Simple but good!
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:615
    • 提供者:李明
  1. PWM

    0下载:
  2. PWM原理 2、调制器设计思想 3、具体实现设计 一、 PWM(脉冲宽度调制Pulse Width Modulation)原理: 脉冲宽度调制波通常由一列占空比不同的矩形脉冲构成,其占空比与信号的瞬时采样值成比例。图1所示为脉冲宽度调制系统的原理框图和波形图。该系统有一个比较器和一个周期为Ts的锯齿波发生器组成。语音信号如果大于锯齿波信号,比较器输出正常数A,否则输出0。因此,从图1中可以看出,比较器输出一列下降沿调制的脉冲宽度调制波。 -PWM principle 2
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:60986
    • 提供者:weiwen
  1. 2808plus

    0下载:
  2. 基于2808的带有按键显示的不同频率脉冲发生,以及输入输出信号,以及电机转速检测的代码-Based on 2808' s with the button to display the different frequency pulse, and the input and output signals, and motor speed detection code
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:207655
    • 提供者:五泉
  1. bujindianji

    0下载:
  2. 三相六拍步进电动机的系统设计,包括电路驱动,脉冲发生,脉冲分配等。-Six-phase stepper motor shoot system design, including circuit-driven, pulse, pulse distribution.
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:13845
    • 提供者:吴晓欣
  1. TYkaihuan_weizhicaiyang_tongyong_pluse_generator.r

    0下载:
  2. 工业机器人手臂两关节位置脉冲发生程序,基于DSP28335开发程序-Industrial robot arm joint position of two pulse program development process based on DSP28335
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:651859
    • 提供者:刘锋
  1. DSP_FPGAcontrol232

    1下载:
  2. 传统的DSP 控制通常针对的是三相系统,其外设资源不能满足多相逆变器的控制要求,文中 提出一种DSP + FPGA 的控制器解决方案. 特别利用了FPGA 逻辑资源丰富,编程灵活的特点,设 计了译码电路、脉冲发生、串口通信、看门狗保护、硬件状态锁存等功能单元,在有效扩展系统功能 的同时,降低了运算单元的负荷,提高了整体性能. 对设计进行了时序仿真,并将其应用于8 MW逆变器的控制系统中,结果验证了设计方案的功能性与可靠性.-Traditional DSP control is usu
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:581312
    • 提供者:ywj
  1. Source

    0下载:
  2. 基于单片机的脉冲磁场发生器,这是脉冲发生部分,功率放大暂时没有-The design of Pulse Magnetic Field Generator based on the MCU
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:6195
    • 提供者:孙强
  1. dingshiqijijishuqi

    0下载:
  2. 1、熟悉8051的t0作为定时器的设置及使用方法 2、掌握t0作为计数器的软件使用方法 3、掌握单脉冲发生电路的硬件线路连接方式 -1, familiar the 8051 t0 as a timer set up and use, master t0 software to use as a counter, grasp the one-shot pulse generating circuit hardware line connection
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-25
    • 文件大小:43112
    • 提供者:岳文君
  1. 连续脉冲发生

    0下载:
  2. 实现连续脉冲发生,该程序简洁明了,功能强大,适用于教学研究(To achieve continuous pulse generation, the program is concise and clear, powerful, and suitable for teaching research.)
  3. 所属分类:其他

    • 发布日期:2018-05-02
    • 文件大小:10240
    • 提供者:猪小弟
« 12 3 4 »
搜珍网 www.dssz.com